AI Leads Revolution in Semiconductors and High-Performance Computing

By Ken Briodagh

Senior Technology Editor

Embedded Computing Design

December 28, 2023

Story

AI Leads Revolution in Semiconductors and High-Performance Computing

It’s no secret to anyone who’s been paying attention, but AI assistance is bringing new methods and innovations to nearly every industry, for good or ill.

In a recent report released by research firm GlobalData, the way that AI is moving into 2024 is through transforming what the report calls “material discovery.” This driver of innovation impacts major sectors such as renewable energy, semiconductors, and pharmaceuticals, according to the firm’s analysts, by enabling faster and more efficient discovery processes, removing barriers to research and development, and driving advancements in material science.

Saurabh Daga, Associate Project Manager of Disruptive Tech at GlobalData, said, “The advancement of AI in material discovery is being propelled by specific needs across industries. In renewable energy, AI is key to overcoming efficiency and cost barriers that are essential for growth. In semiconductors, it is crucial to find materials for miniaturization and heat management, which are vital for future tech. In pharmaceuticals, AI accelerates drug discovery and biocompatibility, advancing personalized medicine. In essence, AI is increasingly becoming the linchpin for unlocking innovative materials and driving forward industry-specific developments.”

The potential of AI is being seen by the major companies in these verticals, too. Recent AI-enabled initiatives include the Google DeepMind Graphical Networks for Material Exploration (GNoME), which reportedly employs advanced deep-learning models for new material structure discovery. GlobalData says that this advanced AI tool is finding use at the Lawrence Berkeley National Laboratory A-Lab, where researchers are using AI, machine learning, and robotics synthesize new materials for cutting-edge applications.

This new report comes out the GlobalData Disruptor Intelligence Center, and the analysis includes AI-driven endeavors like the Quantum Generative Materials (GenMat) Generative AI, which is a collaboration between Fujitsu and Icelandic startup Atmonia to find carbon-neutral technology advancements, and also an AI-enhanced, cloud-based molecular design platform from IBM called the Molecule Generation Experience (MolGX).

“While AI's role in materials science is set to streamline development processes in key industries, challenges remain. Overcoming obstacles related to data, algorithms, and cross-industry collaboration is crucial for AI models to effectively accelerate material discovery,” said Daga. “To fully leverage the benefits offered by AI-powered material discovery, a robust supporting infrastructure is vital.”

Materials science is going to drive the building blocks for every coming innovation is IoT, embedded computing, technology, and energy, and if AI tools can lead researchers to unforeseen answers and new advances, then perhaps the potential of the tech is even greater than we’ve been hoping.

Ken Briodagh is a writer and editor with two decades of experience under his belt. He is in love with technology and if he had his druthers, he would beta test everything from shoe phones to flying cars. In previous lives, he’s been a short order cook, telemarketer, medical supply technician, mover of the bodies at a funeral home, pirate, poet, partial alliterist, parent, partner and pretender to various thrones. Most of his exploits are either exaggerated or blatantly false.

More from Ken